- AMD K6-III, és minden ami RETRO - Oldschool tuning
- Vezeték nélküli fülhallgatók
- Projektor topic
- Milyen billentyűzetet vegyek?
- Apple notebookok
- Milyen notebookot vegyek?
- Vezetékes FEJhallgatók
- VR topik (Oculus Rift, stb.)
- Intel Core i5 / i7 / i9 "Alder Lake-Raptor Lake/Refresh" (LGA1700)
- Milyen egeret válasszak?
Hirdetés
-
Érkezik a Crucial LPCAMM2 memóriamodulja
ph Az új szabványra épülő opció 32 és 64 GB-os verziója árat is kapott már.
-
Két fontosabb újítással megjelent az iOS 17.5
ma Az EU-ban webről is telepíthetők ezzel appok, illetve bővül a nyomkövetőkre való figyelmeztető rendszer.
-
TopSpin 2K25 teszt
gp Djokovic nélkül ugyan, de bő évtizedes kihagyást követően visszatért a TopSpin-széria – itt van minden Grand Slam, de vajon a játéknak mekkora esélye van a világelsői címre?
-
PROHARDVER!
Ez itt, az elektronikával hobbiból foglakozók fórumtémája.
Lentebb összegyűjtötttem néhány elektronikával kapcsolatos, hasznos linket.
Új hozzászólás Aktív témák
-
CPT.Pirk
Jómunkásember
válasz sörösló #10112 üzenetére
Nem beszéltem 23 fok feletti hőmérséklet beállításról.
Akkor van annyi, mikor a sütő is megy a konyhában, ami miatt értelmetlen volt a korábbi, bimetallos termosztát...
Viszont tény, 20 fok alatt kellemetlen abban a lakásban, nekem is.Nincs más - csak egy szál gitár - szidom a rendszert - forradalmár. - Én vagyok egyedül 88 telén. (Auróra)
-
CPT.Pirk
Jómunkásember
válasz PH-User #10661 üzenetére
Csöves erősítőmben egy ilyennel csináltam meg az L-C szűrést a korábbi ellenállások ás kondik helyett. Frankón bevált.
Valamint pl. jó lehet egy kapcsi táp hálózati körébe, ha minden igaz, akkor sorosan a graetz elé, úgy pedig kilapítja a nagy puffer kondik töltésére jellemző nagy áramtüskéket. (azaz passzív PFC-t teszel a tápba, gyárilag is csak ennyi szokott lenni, ha passzív pfc-s egy pc táp,csak a helyszűke miatt kisebb méretű folytóval)
Nincs más - csak egy szál gitár - szidom a rendszert - forradalmár. - Én vagyok egyedül 88 telén. (Auróra)
-
CPT.Pirk
Jómunkásember
válasz dabadab #10674 üzenetére
Söröslő leírását grafikus formában is megtalálod az energetika tananyagaink közt itt: [link] - kapd le a pdf fájlt, és a vége felé lesz, TN szóra keress rá, egyből ott lesz.
PH-User: biztos nem akarsz egyszer erősítőt építeni? Inkább ne bombázd szét azt a vasat.
Nincs más - csak egy szál gitár - szidom a rendszert - forradalmár. - Én vagyok egyedül 88 telén. (Auróra)
-
CPT.Pirk
Jómunkásember
Lesz nekem egy félévi feladatom, egy hordozható frekimérő tervezése úgy cakum pakk. Nem idegen a dolog, hagyományos alkatrészekkel már terveztünk egyet, az igazi alkatrésztemető volt.
Most uC-t felhasználva kell csinálnunk, szóval majd írok programot C-ben, meg esetleg assemblyben, ha szükség lesz rá.Paraméterek: tudjuk, hogy négyszögjelet kell mérni 0-5V, 0.1Hz-1MHz, 10e-5 pontossággal, szal elég csak erre készülni.
Egyenlőre azt találtam ki, hogy mivel kézi műszert kell csinálnom, 9V-s elem lesz a tápforrás, lesz egy komplett erősítő fokozat a korábbi projectből, ami kb. 2-3MHz-ig működik, és 30-40mV körüli jelből a kimeneten már meghajt egy Schmitt Triggert. Itt jön majd a uC, aztán valamilyen Lcd-s kijelzése lesz.
Vélemények?Nincs más - csak egy szál gitár - szidom a rendszert - forradalmár. - Én vagyok egyedül 88 telén. (Auróra)
-
CPT.Pirk
Jómunkásember
And, és Lompos48:
Mindenképpen lesz váltás a kis és nagy freki mérése között, szoftvareben. Az alkatrésztemetőben ezt analóg frekvenciamérő (frekvencia - áram átalakító), és analóg multiplexer oldotta meg, 2 külön kvarccal.
A bemeneti fokozat erősítője mivel fix 35dB erősítésű, így hamar túlvezérlőik, és ez miatt négyszögesíti a jelet, ami aztán megkap egy Schmitt.
Ez (a rettenetes skicc) volt a működési vázlata a temetőnek.
Ez volt az első fele az áramkörnek: [link] Ez pedig a második: [link]
Az első rész kicsit kusza, annak nem én voltam a rajzolója.Nyákot csinálni elég nagy szopás volt hozzájuk. Végül elfogadták, mindketten kaptunk rá 4-est.
A pontosság kérdésére nem tudom még a választ, szerintem nem lesz olyan véresen komolyan véve. Viszont akár megépíteni sem lenne rossz egy ilyen uC-s dolgot. Hmm, bár legszívesebben egy hatalmas, asztali frekimérőt csinálnék, ami minden számjegye egy szép nagy nixie cső. De szép is lenne.
[ Szerkesztve ]
Nincs más - csak egy szál gitár - szidom a rendszert - forradalmár. - Én vagyok egyedül 88 telén. (Auróra)
-
CPT.Pirk
Jómunkásember
válasz Daneeka #10753 üzenetére
Ezek szeretnek melegedni. Kicsit régen terveztem ilyenre hűtőbordát, de nagyjából úgy kell számolni, hogy amit eldisszidálnak, az a (bemeneti fesz - kimeneti fesz) * áram.
A normál, TO220-as tok (a műanyag tokos) 25 fokon nagyjából 2W-t tud borda nélkül elfűteni maximálisan. Nálad a fesz különbség 7V, ami annyit tesz, hogy legfeljebb 285mA áramot vehetsz ki tápáganként, vagyis az összes rendelkezésre álló teljesítmény kb. 6W lesz összesen.
Megnézve a középső görbét, ott van hűtőborda, a te esetedben az már maximális kihasználhatóságot jelentene. Anélkül, hogy kiszámolnánk konkrétan a kellő bordát, azt javaslom, hogy mindkét stabilizátort lásd el 1-1 bordával és pasztával, pl. amit számgép tápokból lehet kitermelni bordát, azok tök jók, azokon van csavar, meg minden hozzá. Aztán max lehet rá tenni nagyobbat, de nem tartom valószínűnek, hogy kevesek lennének.
Mi ilyen kapcsolásban terveztünk velük:
Mivel itt külső tápellátást használtunk, D2 vigyáz a fordított tápfesz kivédésére, a D1 pedig a kimenetien megnövekvő fesz ellen véd, az ugyanis hamar zárlatossá teheti a stabilizátort, beengedve ezzel a 19V-t az áramkörbe.
Nagyon extra igények esetén még soros fojtó tekercs is volt bent, de azt a rajzot most nem találom.
[ Szerkesztve ]
Nincs más - csak egy szál gitár - szidom a rendszert - forradalmár. - Én vagyok egyedül 88 telén. (Auróra)
-
CPT.Pirk
Jómunkásember
válasz Lompos48 #10756 üzenetére
Miért lenne ez belepofázás? Tök érdekeseket írsz, nem gondoltam, hogy ennyire gyér képességei vannak egy átlagos ilyen stabilizátornak borda nélkül.
A 78LZ12Z meg csak szükségből került be, nem találtunk semmi normális stabilizátort az eagleben, aminek volt negatív verziója is, főleg smd-ben nem. Amúgy is csak egy e tervezőben lévő kapcsolás volt, 3 opampal felépűlt diff. erősítő.
Nincs más - csak egy szál gitár - szidom a rendszert - forradalmár. - Én vagyok egyedül 88 telén. (Auróra)
-
CPT.Pirk
Jómunkásember
válasz Jancsi #10758 üzenetére
A Graetz hidat érdemes gyors diódákból megcsinálni, a JLH v. Ágoston könyv szerint, azok "bedarálják" a mindenféle zavarokat.
Fesz. eséssel meg vigyázni kell a szűrés terén, mert a lin. stabilizátor bemenetén 4,5V-val (vagy 4V, nem ugrik be a pontos érték) nagyobb fesznek kell lennie, mint a kimenetén, hogy működni tudjon.
[ Szerkesztve ]
Nincs más - csak egy szál gitár - szidom a rendszert - forradalmár. - Én vagyok egyedül 88 telén. (Auróra)
-
CPT.Pirk
Jómunkásember
Aki otthon van a mikrosütők technikájában, az nyugtassa meg az ittenieket: http://logout.hu/bejegyzes/lezso6/nem_haltam_meg/friss.html#rel_msgs
Nincs más - csak egy szál gitár - szidom a rendszert - forradalmár. - Én vagyok egyedül 88 telén. (Auróra)
-
CPT.Pirk
Jómunkásember
Kis segítséget kérnék.
Van egy elméleti feladatom, egy nem túl nagy alu tartályban kellene megmondani az aktuális vízszintet, amibe van tetszőleges be és kifolyás.
Ultrahangos szintérzékelőre gondoltam, találtam egy okos kis szerkezetet, [link], de pár dologban nem vagyok biztos.
Ez több dolgot is tud, még USB 2.0 kapcsolat is megoldható, de elsőnek ez érdekelne, amit a normál kimenetéről írnak:
Signal Output 4-20 mA, two-wire (when loop powered)
4-20mA a kimeneti áram, ami a vízszinttől függ, de mit takar a hurok táplált?
Nincs más - csak egy szál gitár - szidom a rendszert - forradalmár. - Én vagyok egyedül 88 telén. (Auróra)
-
CPT.Pirk
Jómunkásember
válasz Lompos48 #10891 üzenetére
Hogy néz ki itt a 4 vezetékes mérési elrendezés? Ellenállás és hőmérsékletmérésre tanultam ilyet, de itt kicsit tanácstalan vagyok.
Viszont egy dolgot nem vettem számításba, hogy 5m magas víztartályról van szó, ez meg csak 1,5m-et tud.
A nagy testvére [link] már tud 8 métert, de az meg önmagában megoldja az egész problémát, mert saját LCD kijelzője van nem felejtő memóriával. Egyébként ennek ki van emelve, hogy 2 vezetékes mérési módra vonatkozik a szintén 4-20mA.
Most ha ezzel odamegyek, hogy francba a mikrovezérlőkkel, tegyük rá ezt, azt jóccakát, akkor vajon mennyire fog örülni nekem a tanár?Nincs más - csak egy szál gitár - szidom a rendszert - forradalmár. - Én vagyok egyedül 88 telén. (Auróra)
-
CPT.Pirk
Jómunkásember
válasz Lompos48 #10893 üzenetére
A lézer sem rossz, de kicsi a hatótávolsága.
Megnéztem még sok másik érzékelőt, igazából kettő az, ami tetszik,
[link] -ez tud 2 és 4 vezetékes mérést, de semmi extra.
[link] -ez már mindent tud, még beépített RS232 / 485 is van rajta.Mindkettőnek +-0.25% a pontossága, de egyiknél úgy írják, hogy "0.25% of full scale", másiknál meg h. levegőben a teljes hatótáv 0,25%-a. Utóbbinak 18.3m a maximális hatótávolsága, hogyan kell ezt érteni?
Utóbbinak 6.9mm a felbontása, ami 5 méteren 724 beosztást jelent. A tartályom henger alakú, 2m széles, 3mm a falvastagság, és 5m magas. Ezek szerint 59,12Liter a maximális térfogat.
Ha jól számolom, akkor ezzel az elérhető pontosság 0,0816 Liter. (r*r*pi -t beszorozva 5/724-el)
Ez azért érdekes, mert kérdés volt, hogy elérhető-e a választott módszerrel az 1Literes pontosság.Nincs más - csak egy szál gitár - szidom a rendszert - forradalmár. - Én vagyok egyedül 88 telén. (Auróra)
-
CPT.Pirk
Jómunkásember
válasz Lompos48 #10899 üzenetére
Jah igen, igazad van!
A pontos térfogat pedig 14,78m3 vagyis 14779,6 Liter. Ebből a pontosság 0,0204138m3, vagyis 20,413Liter. Akkor ha jól értem, a mutatott érték és a tényleges között +-20,413 Liter maximális letérés lehet? Nem tűnik soknak a csaknem 15000Literes térfogat mellett.
Nincs más - csak egy szál gitár - szidom a rendszert - forradalmár. - Én vagyok egyedül 88 telén. (Auróra)
-
CPT.Pirk
Jómunkásember
Ezek a Dect-ek egyszerűen vackok szerintem. Mienkbe olyan pici féle, kb. az AAA-s elem fele méretű akkuból kell kettő. Már többfélével próbálkoztunk, de gyakran megesik, hogy egész éjszaka rajta volt a töltőn, aztán két perc alatt lemerül csontra beszélgetés közben. Máskor meg akár 40-50 perc beszélgetést is kibírnak ugyanazok az akkuk...
(rohadna meg, aki kitalálta az ilyen-olyan családon belüli ingyen telefonálást, laza félórás beszélgetések a semmiről, minden nap 2x...)Nincs más - csak egy szál gitár - szidom a rendszert - forradalmár. - Én vagyok egyedül 88 telén. (Auróra)
-
CPT.Pirk
Jómunkásember
Korábban említettem, hogy csöves 5.1 készül itt nálam. Egy ideje problémákkal küzdök. PCL/ECL86-os csövekkel single ended csatornáim vannak (sub még nincs kész, az nem SE lesz), de nem tudok velük zöld ágra vergődni, mert visszacsatolás nélkül iszonyat nagy az erősítésük, visszacsatolva meg egyrészt vagy túl kicsi lesz a max hangerő, vagy mióta beállítottam a triódát majdnem pontosan A osztályba, azóta egyfolytában gerjedés van visszacsatolva, szóval nem járható út.
Mivel a potméteres hangerőszabályzás sem túl jó megoldás ebben az esetben, az együtt futás meg az iszonyat nagy osztás miatt (pc hangkártya, cd lejátszó a forrás), ezért most gondoltam egyet, és arra jutottam, hogy talán érdemes lenne az Analog Devices egy 6 csatornás digitális beállítású hangerő vezérlőjét használni a célra, elég okos jószágnak látszik: SSM2160Mi a véleményetek róla? Jó lenne nekem a vonali szint és a trióda közé?
Nincs más - csak egy szál gitár - szidom a rendszert - forradalmár. - Én vagyok egyedül 88 telén. (Auróra)
-
CPT.Pirk
Jómunkásember
válasz Lompos48 #10941 üzenetére
Köszi!
Megnézve a vezérlését a cuccnak, azt várja, hogy egy cím bájtot követ egy adat bájt, vagyis 2*8 bit kell a vezérléshez.
Ez alapján az a cím bájtban az első bit mindig "1", a következő 4 mindegy, a maradék három pedig a csatorna kiválasztást végzi, bár nem valószínű, hogy azokra szükségem lenne, szerintem elég lesz csak master hangerőt állítgatni, és fix "0"-n tartani őket.
A következő 8 bit első tagja mindig "0", a masternél a következő 7 érték adja a csillapítást, csupa nulla adja -127-et, míg csupa egy a 0-át. Ha emlékeim nem csalnak, akkor egy impulzussal növelhető/csökkenthető sorrendi hálózattal simán meg lehet csinálni a kívánt érték beállítását. - gondolom ilyen elven mennek a végtelenszer körbeforgatható hangerő potméterek is, mert csak egy elfordulás impulzust adogatnak.
Miután kész a 2x 8 bit, egyszerűen vigyem rá őket egy 16 bites multiplexerre, onnan meg be a cuccba valamilyen órajellel, és meg is vagyok? Mit takar az igazságtáblában az utolsó, "no dac selected" sor, akkor egyikre sem érvényes az utolsó 5 bit, vagy mindegyikre érvényes?
[ Szerkesztve ]
Nincs más - csak egy szál gitár - szidom a rendszert - forradalmár. - Én vagyok egyedül 88 telén. (Auróra)
-
CPT.Pirk
Jómunkásember
válasz Lompos48 #10943 üzenetére
Ha csatornákat is akarom külön vezérelni, az szerintem nagyon megbonyolítja, oda külön 5 bites számlálókat kellene tennem, vagy legalább is egyet mindenképpen, esetleg a master számláló utolsó 5 bitjét kellene használni. Lehet egyszerűbb, ha beteszek 6 hagyományos potit a csatornákhoz egyszerű osztónak, úgy is csak 1x kell beállítani őket, jellemzően leginkább a fül és a hangfal távolságának megfelelően. Ez egy nem túl nagy panel szoba, ennél komolyabb hangolásnak nem nagyon látom szükségét.
Amire ténylegesen szükség lehet, az a center hangfal hangerejének növelése, mert apukám hallása nem túl jó, és a beszédet gyakran nehezebben érti a filmek alatt, de ezt akár a lejátszóból is könnyen tudom állítani egy mozdulattal.Ha csak a master hangerőre koncentrálunk, akkor az első 8 + 1 bit simán előállítható fix logikai szintekkel, a maradék 7 bit meg jönne egy asszinkron előre/hátra számlálóról, annál a set bemenetekkel a bekapcsoláskori érték is beállítható. A számláló bemenetére meg egy prell mentesítő kapcsoláson keresztül érkezne egy ilyen forgós jeladó, franc tudja mi a precíz neve.
Ilyen asszinkron számlálóra van is rajzom valamerre, tanultuk órán.
Nincs más - csak egy szál gitár - szidom a rendszert - forradalmár. - Én vagyok egyedül 88 telén. (Auróra)
-
CPT.Pirk
Jómunkásember
válasz Lompos48 #10947 üzenetére
Az bizony
Amin még gondolkodtam eddig, az az ellenállás - létra, mint változtatható fesz. osztó, csak nem találok hozzá sehol 24 állású forgókapcsolót. Láttam képen olyat, hogy smd ellenállásokkal a forgó tengely köré rá volt építve a létra is, csak össze kellett kötni, de olyat sem találtam még sehol.
A legtöbb amit találtam, az 12 állású Jaxley volt, de az is csak 1 darab.Esetleg forgókapcsoló helyett egy asszinkron előre/hátra léptető áramkör + 24 relé is megoldás lenne, de a relé az drága, főleg 6*24, meg sok hely...
Nincs más - csak egy szál gitár - szidom a rendszert - forradalmár. - Én vagyok egyedül 88 telén. (Auróra)
-
CPT.Pirk
Jómunkásember
válasz Lompos48 #10949 üzenetére
Milyen torzítások, stb. lesznek ott?
Amúgy régebben csináltam egy jfet alapú hangerőszabályzót, amiben a fetek csatorna ellenállása alkotta a fesz. osztó alsó tagját, és egy közös feszről lehetett vezérelni mindet. Az működött, talán még a panel is megvan valamerre, meg a rajz.
szerk: meg is van: [link]
[ Szerkesztve ]
Nincs más - csak egy szál gitár - szidom a rendszert - forradalmár. - Én vagyok egyedül 88 telén. (Auróra)
-
CPT.Pirk
Jómunkásember
válasz CPT.Pirk #10950 üzenetére
Kis kiegészítő, ez a fetes áramkör jó lenne a sima, 5.1-es működésre, mikor gépről jön a hang, most Audigy hangkarim van, de ez sem képvisel olyan minőséget, ami miatt érdemes maximumra törekedni ezon a téren.
A rendes Pio CD lejátszó, Onkyo tuner, stb. számára külön bemeneteket csinálnék, amik jelét egy két tengelyes dupla potival lehetne szabályozni, azt egy jobb fajta kazettás deckből bontottam ki. így a minőség ezen a részen a lehető legjobb lenne, plusz instant balansz funkció is ott van a poti miatt.Hallható különbség van az között, ahogy egyazon zene (flac kiírva audiocd-nek) szól az Audigyiből és a CD lejátszóból, az utóbbi javára, pedig az Audigy sem annyira bóvli.
Nincs más - csak egy szál gitár - szidom a rendszert - forradalmár. - Én vagyok egyedül 88 telén. (Auróra)
-
CPT.Pirk
Jómunkásember
válasz sörösló #10956 üzenetére
Az Ecl/Pcl86 csövek tartalmaznak egy triódát, és egy végerősítőt, azért vannak egy búrában, hogy anno a TV/rádió technikában 1 cső, pár alkatrész meg egy trafó megoldja a hang kérdését.
Nem a cső technika csúcsa, és a teljesítménye is nagyon kicsi, de panelba elég, valamint megfizethető, és teljesen jó első csöves erősítőnek. (nyilván nem mondtam neked sok újdonságot, nem okítani akartam, csak leírni, hogy miért ezt a csövet választottam)Szóval nem a munkapont beállítás nem megy, hanem csak majdnem oda van állítva a cső, nem volt pontosan akkora ellenállás, amit számoltam. Lesz korrigálva az érték, de csak miután valami nyákfélét is csinálok.
így néz ki a munkapont beállításom.
Ami nekem gondot okoz, az az, hogy a vonali szintű jelek sokszorosan túlvezérlik a csövet. Ez miatt kísérletezgetek mindenfélével már egy ideje.
Lompos48: amit írtál utoljára nekem, azt a linkelt fetes kapcsolásra értetted, vagy arra, amit még előtte kérdeztem a relé helyett rakott fetről? (utóbbira tippelek)
Mi a véleményed a fetes hangerő szabályzóról?[ Szerkesztve ]
Nincs más - csak egy szál gitár - szidom a rendszert - forradalmár. - Én vagyok egyedül 88 telén. (Auróra)
-
CPT.Pirk
Jómunkásember
válasz Lompos48 #10961 üzenetére
Megtaláltam a kapcsolást, és nem is hiányzik semmi belőle, csak holnap sajnos estefelé érek majd csak rá, de kipróbálom, első körben a rendes tranyós erősítőmnél.
Nincs más - csak egy szál gitár - szidom a rendszert - forradalmár. - Én vagyok egyedül 88 telén. (Auróra)
-
CPT.Pirk
Jómunkásember
válasz Lompos48 #10963 üzenetére
Volt még egy kis időm kipróbálni. A poti vége felé lehet igazából a hangerőt állítani (47k-s B-s poti van ott) egy rövid szakaszon.
Valami másfajta osztó kellene, ami 3,5 - 5V közötti feszültségállítást csinál, bár így is használható, csak nem az igazi.Majd be üzemelem a csövest is, megnézem elég-e a csillapítása oda.
Nincs más - csak egy szál gitár - szidom a rendszert - forradalmár. - Én vagyok egyedül 88 telén. (Auróra)
-
CPT.Pirk
Jómunkásember
Mivel lehet 2 méteren távolságot mérni, 0.01mm pontossággal? Egy elméleti feladatban kell eszterga marófej pozíciót mérni ilyen pontossággal, aztán működtetni is, bár ez mellékes.
Lézeres mérők túl pontatlanok, akusztikus mérők szintén, mi van még?
Pl. a hajtó tengelyre rakott jeladó? Állítólag abból van olyan, ami pl. 1000 impulzust ad le 360 fokon, vagy valami ilyesmi. Ezeknek mi a neve?Nincs más - csak egy szál gitár - szidom a rendszert - forradalmár. - Én vagyok egyedül 88 telén. (Auróra)
-
CPT.Pirk
Jómunkásember
válasz csongi #11026 üzenetére
Mikor gépezel/filmezel, akkor tartsd kézközelben a távkapcsolót, és kapcsold standbyba, ez a legegyszerűbb. Ez mellé vehetsz egy master-slave elosztót, hogy csak akkor kapjon áramot, ha megy a számítógép/TV.
Egyébként volt ilyen rettenetem, éjszakára áramtalanítani kellett, mert standby módban is egyfolytában hallatszott a hálózati 50Hz a mélynyomóból. A fa munka szép, de az elektronika benne teljesen mentes mindenféle árnyékolástól (szétszedtem, megnéztem belülről). No meg persze a hangszórókon is ott áll a felirat benne, hogy made in china, olyan is a hangja, el is adtam hamar, bár azt a 24k-t, amibe került, végül is megérte...
Nincs más - csak egy szál gitár - szidom a rendszert - forradalmár. - Én vagyok egyedül 88 telén. (Auróra)
-
CPT.Pirk
Jómunkásember
válasz csongi #11028 üzenetére
Akkor annyi marad, hogy estére kinyomod a főkapcsolóját hátul. Amúgy az az 5k jó ár volt, ki adta ennyiért? Mikor én vettem 24K-ért kiskerben, akkor minden más kiskerben 35k fölött volt az ára.
Viszont egész este töltögető gép mellett számít ez a pár watt?[ Szerkesztve ]
Nincs más - csak egy szál gitár - szidom a rendszert - forradalmár. - Én vagyok egyedül 88 telén. (Auróra)
-
CPT.Pirk
Jómunkásember
Előző heti megoldásunk azzal az elmozdulásmérővel ütött, amit kolléga választott, azzal valami 5uM-es felbontásnál tartottunk a leírása szerint.
Most ismét kaptunk egy érdekes feladatot, vérnyomásmérőt kell terveznünk uC-vel.
Szóval a mai mérőkben van egy kis motor, ami egy kompresszort forgat meg, az pedig felfújja a tömlőt. A tömlő hozzá van kapcsolva egy nyomásmérőhöz, ami elvileg egy "abszolút nyomásmérő".
Ettől a ponttól viszont problémáim vannak a mérés elvével. A kompresszor felfújja a tömlőt, a várható értéknél magasabb nyomásra, és ha jól értem, ez után addig enged ki levegőt, míg a tömlő nyomása összhangba nem kerül a vérnyomással, ezt pedig onnan látni, hogy a nyomásmérő nem állandó értéket mutat, hanem a pulzus ütemében változót.
Ha eddig jól mondom, akkor itt kellene nekiállni mérni, stb.A wiki szerint mind a mech. mind az elektromos mérőkben használják az Oscillometrikus mérési technikát, de sajnos nem sikerült érthetően lefordítanom a szövegnek a lényegi részét. [link]
Nincs más - csak egy szál gitár - szidom a rendszert - forradalmár. - Én vagyok egyedül 88 telén. (Auróra)
-
-
CPT.Pirk
Jómunkásember
Tudtok mondani valami, kis nyomáson is használható nyomásmérő szenzort? 0 .. 0,3 Bar, 0.. 4-5Psi ill. 0 .. 20-25 kilopascal tartományban kellene mérnie megbízható pontossággal.
Nincs más - csak egy szál gitár - szidom a rendszert - forradalmár. - Én vagyok egyedül 88 telén. (Auróra)
-
CPT.Pirk
Jómunkásember
Van aki vállal nyák gyártást?
Nem túl bonyolult kapcsolásból kellene 5 darab egyforma kb. 5x6 centis 1 oldalas (1 cső és 6-7 alkatrész / nyák), egy egyszerű kb. 5*10cm-es egyoldalas nyák a tápnak, meg egy kicsit bonyolultabb, kb. 10x10-14cm-es, esetleg két oldalas nyák a sub Push-Pull kapcsolásának.A terveket nagyrészt már megcsináltam Eagleben, de nyákot nem készítettem még soha, csak tanultuk, hogy hogyan kell megtervezni. Ezt a készülő erősítőt meg sok évig szeretném használni, szóval nem ezen szeretnék kísérletezni.
Érdemes valami céggel megcsináltatni ilyen kis tételt?
Nincs más - csak egy szál gitár - szidom a rendszert - forradalmár. - Én vagyok egyedül 88 telén. (Auróra)
-
CPT.Pirk
Jómunkásember
válasz Batman2 #11470 üzenetére
Szia!
Összeszedem a cuccokat, kicsit csinosítok rajta, és elküldöm privátban. Eagle-s fájlok, küldjem el képfájlként a nyákrajzot belőle, mint pl. mikor papírra nyomtatjuk v. az egész projectet küldjem át?
Nincs más - csak egy szál gitár - szidom a rendszert - forradalmár. - Én vagyok egyedül 88 telén. (Auróra)
-
CPT.Pirk
Jómunkásember
Nyák gyártást most hanyagolom egy kicsit, idő híján.
Viszont van megint egy frankó feladatom, hordozható frekimérőt csinálok, 0.1Hz-1MHz tartományra, 10e-5 pontosság mellett. A dolog lelke egy 8051-es mikrovezérlő, ami a soros kommunikációért, érték kiírásért felel, a mért frekvenciát viszont egy cpld dolgozza fel. Erre a pontsság növelése miatt tértünk rá.
A cpld kapcsán lenne pár kérdésem. Abban lenne megvalósítva a latch, számláló, stb. Azt mondta ma a tanár úr, hogy 4bytos számlálót valósítsunk meg benne, és shift regiszteren keresztül toljuk ki a számolt értéket a 8051-nek.
Igazából a 4 byteos számláló kapcsán vagyok kicsit tanácstalan, nem teljesen tiszta ennek a mibenléte. Ez most azt takarja, hogy 2^32 értéket tudunk max megszámolni?
Maga a mérés viszonylag tiszta nekem, analóg áramkörből már csináltam ilyen paraméterű frekimérőt, ott egy analóg frekimérő váltott az impulzus számlálás és a periódusidő mérés között kb. 2kHz-nél.Szóval mi akar lenni ez a 4 byteos számláló?
Nincs más - csak egy szál gitár - szidom a rendszert - forradalmár. - Én vagyok egyedül 88 telén. (Auróra)
-
CPT.Pirk
Jómunkásember
válasz Lompos48 #11586 üzenetére
Akkor jól számoltam, a 2^32-ent?
Valamint tudsz mutatni egy ilyen számlálóra valami példát? Véletlen sem jövök rá, hogy mi ebben a különleges, sajnos soha nem tanítottak ilyen számláló áramköröket, csak egyszerű BCD kódhoz valókat mint pl. Johnson, stb.
Egyébként egy 64 cellás Xilinx Coolrunner2 lesz a cpld.
[ Szerkesztve ]
Nincs más - csak egy szál gitár - szidom a rendszert - forradalmár. - Én vagyok egyedül 88 telén. (Auróra)
-
CPT.Pirk
Jómunkásember
válasz superecneB #11611 üzenetére
Ugyanilyen hibája volt nálam a "fadobozos" Genius 5.1 rettenetnek, kikapcsolva is jött a hálózati 50Hz a mélynyomóból. Azt szétszedtem, de olyan idióta módon volt szerelve, hogy nem fértem hozzá semmihez. Egyébként maga az elektronika benne semmiféle árnyékolást nem kapott, csak be volt építve a 2-3 nyáklemez a fába.
Egyszerű megoldása a hibának az éjszakára áramtalanítás. Meg egy gyors eladás.
Nincs más - csak egy szál gitár - szidom a rendszert - forradalmár. - Én vagyok egyedül 88 telén. (Auróra)
-
CPT.Pirk
Jómunkásember
válasz superecneB #11613 üzenetére
Én arra tippelek, hogy a hálózati trafó mágneses (már amennyire azt lehet árnyékolni) árnyékolatlansága okoz gondot, mivel nagyon közel van hozzá a mélynyomó sok menetes tekercse. Gondolom terheletlenül a szórás érződik ki.
Aztán még lehet, hogy a standby áramkör okoz gondot, de látni kellene a belsejét.Nálam sajnos minden be volt burkolva valami ótvar fekete textil szövettel, amin át voltak cibálva a vezetékek, így nem tudtam érdemben megnézni, milyen tápja van, és milyen szűrés van benne.
[ Szerkesztve ]
Nincs más - csak egy szál gitár - szidom a rendszert - forradalmár. - Én vagyok egyedül 88 telén. (Auróra)
-
CPT.Pirk
Jómunkásember
válasz totya694 #11615 üzenetére
Jelenleg én is frankó, tranyós erősítőkkel vagyok a gépre kötve, per pill 3 darab sztereó, hogy meglegyen az 5.1...
Egyébként készül a csöves 5.1 is a géphez, csak alig van időm, és nagyon nem egyszerű összehozni a vonali szintű jelekkel az erősítését a pcl/ecl 86 csöveimnek.Nincs más - csak egy szál gitár - szidom a rendszert - forradalmár. - Én vagyok egyedül 88 telén. (Auróra)
-
CPT.Pirk
Jómunkásember
válasz totya694 #11618 üzenetére
Nem, szerencsére nem így van, legalább is nem minden csövesnél. Konkrétan már haszánltam teszt erejére 5 pcl/ecl csatornát a gépről, és nem jött át a "matekozás". Sőt, gyönyörű hangjuk volt.
Most az egyik tranyós erősítőimnél megfigyelhető a dolog, gagyi kábellel van a gépre kötve.
Pl. ami okozza:
- külön földelésen van a gép és az erősítők a lakásban
- földhurkok alakulnak ki a jelvezetékekben
- konkrét példa tőlem: [link]Toroid trafó: az meg csak akkor jobb a simánál, ha legalább 2,5-3X van túlméretezve a teljesítménye, ellenkező esetben rosszabb lesz a szórása, mint a sima trafónak.
szerk: amennyit láttam a Geniusból, annak is ilyen átlagos tápja volt a szokásos kialakításban.
[ Szerkesztve ]
Nincs más - csak egy szál gitár - szidom a rendszert - forradalmár. - Én vagyok egyedül 88 telén. (Auróra)
-
CPT.Pirk
Jómunkásember
Egy pici vhdl segítséget kérnék. Megírtam életem első kódját, szintakszis rendben, implementálható is, csak logikailag kellene átnézni egy picit.
Lényeg annyi, hogy egy 32 bites shift regiszter, párhuzamos bemenetei és soros kimenete van. Ez fogja összekötni majd a frekimérőben a cpld számoló részét a 8051-el, ami az érték megjelenítését végzi.
library ieee;
use ieee.std_logic_1164.all;
entity piso_shift_register is
GENERIC(x : INTEGER := 32);
port(DATA : in std_logic_vector(X-1 downto 0);
CLK : in std_logic;
Q : out std_logic);
end piso_shift_register;
architecture archi of piso_shift_register is
signal tmp: std_logic_vector(X-1 downto 0);
begin
process (CLK,DATA)
variable i: integer;
begin
if (CLK'event and (CLK = '1') and (CLK'last_value = '0')) then
if ( i <= (X-1)) THEN
Q <= DATA(i);
i := i+1;
end if;
end if;
end process;
end archi;Konkrétan a a ciklus számláló "i" működésében nem vagyok teljesen biztos.
Nincs más - csak egy szál gitár - szidom a rendszert - forradalmár. - Én vagyok egyedül 88 telén. (Auróra)
-
CPT.Pirk
Jómunkásember
Adott nekem egy HD44780 kompatibilis lcd (csak tervben, szal nem tudom megmérni), aminek kellene használnom a háttérvilágítását. Azt nem találtam meg, hogy mennyi áramot vesz fel a háttérvilágítás számára, viszont ezt fontos lenne tudnom, mert kézi műszert tervezek, ahol számít a fogyi...
Másik kérdés, hogy egy 8051-es mikrovezérlőt, aminek talán cmos kimenete van (1.8-3.6V -ig mükszik a cucc) kellene használni a háttérvilágítás kapcsolására. Gondoltam egy tranyót kellene kapcsolgatni az egyik IO lábbal, a tranyóval meg a 3.3V-t az lcd háttérvilágítására. Itt a kellő ellenállás értékekben meg kapcsolásban tudnátok segíteni kicsit? Nagyjából vágom, csak nem akarok hibázni itt.
Nincs más - csak egy szál gitár - szidom a rendszert - forradalmár. - Én vagyok egyedül 88 telén. (Auróra)
-
CPT.Pirk
Jómunkásember
válasz Jester01 #11729 üzenetére
Ahh, rossz pdf-et néztem, ezért nem találtam meg! A rajta lévő IC datasheetjét olvasgattam, ezért nem volt benne! Hiába, este 11 körül már ne tervezzen az ember.
No ennek 16mA kell a világításra, mindezt 3,3V és 150ohm Rlimit mellett. Az a fet meg a te pdf-edből jó lesz nekem is.
[ Szerkesztve ]
Nincs más - csak egy szál gitár - szidom a rendszert - forradalmár. - Én vagyok egyedül 88 telén. (Auróra)
-
CPT.Pirk
Jómunkásember
válasz Lompos48 #11733 üzenetére
Szóval kézi frekimérő készül.
Itt egy timer fogja vezérelni a háttérvilágítást, gomb nyomásra indul, és mikor a timer végzett, lekapcsol. Az egész szerkezetem lelke egy 37mAh-s kis akku, cpld végzi a számolás, latch, shift regiszter funkciókat, és a c8051f991 pedig a számok kiírását az lcd-re.
Nem szórakozok semmi egyébbel, még van egy aksi töltő áramkör benne, ami usb-ről tölt 100mA-val, az nem igényel külön kommunikációt ehhez.Mivel semmi lényegi kritérium nem volt megszabva (1MHz, 10^5 pontosság kivételével), mindössze TTL szintű jelekre számítok, csak egy alapszintű bemenet védelem, meg 2 schmitt trigger sorosan lesz a bemeneten, aztán kész, nem fogok most erősítőt tenni oda, mint előző félévben az alkatrésztemető labor frekimérőmbe...
Nincs más - csak egy szál gitár - szidom a rendszert - forradalmár. - Én vagyok egyedül 88 telén. (Auróra)
-
CPT.Pirk
Jómunkásember
válasz Lompos48 #11735 üzenetére
A gond az, hogy akárhány töltő áramkört találtam, mindegyik 1 cellás akkut tud csak tölteni, abból meg nincs nagyobb (legalább is eagleben, ami fontos, mert már minden más alkatrészt megcsináltam oda).
De ha esetleg tudsz valami jobb töltő áramkört, akkor szívesen betennék AA v. AAA méretű akkut is.Amúgy a bemenetre még valamit ki kellene találnom, jó lenne oda valami pár MHz-et tudó, egy tápfeszről járó erősítő, de nem nagyon találok ilyet.
Az akku meg méretileg a kijelző harmada kb, olyan 3x3cm.[ Szerkesztve ]
Nincs más - csak egy szál gitár - szidom a rendszert - forradalmár. - Én vagyok egyedül 88 telén. (Auróra)
-
CPT.Pirk
Jómunkásember
válasz Lompos48 #11737 üzenetére
Ez az LT6247 tetszik, csak nincs hozzá lbr... Beszerkeszteni meg kicsit hosszú.
USB nem kötelező, és mivel nem kommunikálok rajta keresztül, így nem is fog hiányozni. Jó lesz ez a Maxim cucc is, és akkor nem lesz gond pl. a 6247 10mA körüli fogyasztása sem.
Nincs más - csak egy szál gitár - szidom a rendszert - forradalmár. - Én vagyok egyedül 88 telén. (Auróra)
Új hozzászólás Aktív témák
- AKCIÓ! Szépségápolás, Haj - és Szakállápolási márkás gépek - BOLTI ÁR FELÉÉRT!
- Bomba! HP EliteBook 1040 G7 x360 Érintős Hajtogatós Ultrabook Tab 14" -70% i7-10710U 16/256 FHD LTE
- BONTATLAN Új Iphone 15 és 15 Plus 128-512GB 1év APPLE garancia gyári független Deák AZONNAL Átvehető
- BONTATLAN Új Iphone 13 128-512GB 1év hivatalos Apple garancia gyári független Deák Azonnal Átvehető.
- ÚJ BONTATLAN Apple Watch Series 8 S8 41-45mm Azonnal Átvehető DEÁK TÉRNÉL 1 Év Apple Garanciával.
Állásajánlatok
Cég: Ozeki Kft.
Város: Debrecen
Cég: Alpha Laptopszerviz Kft.
Város: Pécs