- Mindenkinek elérhetővé teszi a képgenerálást a Lossless Scaling
- Bambu Lab X1/X1C, P1P-P1S és A1 mini tulajok
- NVIDIA GeForce RTX 4080 /4080S / 4090 (AD103 / 102)
- GeForce GTX 1060 6GB vs Sapphire NITRO+ RX 580 8GB
- AMD K6-III, és minden ami RETRO - Oldschool tuning
- Vezeték nélküli fülhallgatók
- Projektor topic
- Milyen billentyűzetet vegyek?
- Apple notebookok
- Milyen notebookot vegyek?
Hirdetés
-
Szimpatikusnak tűnik a T Phone új generációja
ma A Telekom saját márkás modelljeinek új generációja jelentős előrelépés az első T Phone-okhoz képest.
-
A Princeton újraértelmezte az ultrakompakt hordozható SSD fogalmát
ph A cég terméke ránézésre egy apró pendrive-nak tűnik, de valójában nem az.
-
Európába érkezett a ChatGPT egyik legnagyobb ellenfele
it A Google-hátszelű Anthropic Európában is kiadja a Claude AI-chatbotot.
-
PROHARDVER!
Ez itt, az elektronikával hobbiból foglakozók fórumtémája.
Lentebb összegyűjtötttem néhány elektronikával kapcsolatos, hasznos linket.
Új hozzászólás Aktív témák
-
CPT.Pirk
Jómunkásember
válasz Lompos48 #14880 üzenetére
Legfeljebb fluxust meg ilyenek tudok számolni. Trafó méretezést csak a másik szakirányon tanítanak...
Igazából ezeket tudom a trafóról: 8cm * 9.5cm * 4 cm a külső méretei. Valamint van egy második leágazás, az 230V váltó. Az erősítő a 190V váltó leágazásról megy.
Nincs más - csak egy szál gitár - szidom a rendszert - forradalmár. - Én vagyok egyedül 88 telén. (Auróra)
-
CPT.Pirk
Jómunkásember
Egyenlőre az is jó lenne, ha valaki megmondaná, hogy a 200VA elég-e.
Ágoston könyv ezt írja: " Az űltalános toroid trafók nem alkalmasak audiofil erősítőkben, mert ezeket igencsak a határteljesítményükre méretezik, nincs tartalékuk és bizony ezért a szórásuk is nagyobb. ... -> brumm."
A könyvben azt írják, 2x-es túlméretezés kell toroidnál Ez nálam 250VA érték lenne.
[ Szerkesztve ]
Nincs más - csak egy szál gitár - szidom a rendszert - forradalmár. - Én vagyok egyedül 88 telén. (Auróra)
-
CPT.Pirk
Jómunkásember
válasz moha21 #14890 üzenetére
A középső oszlop szélessége 3 és 4 centi között van, sajnos nem lehet pontosan látni, de 3 tűnik valószínűnek, max 3.5 cm. Másik oldala 4,5cm, szóval a belső oszlop 15-18cm^2 között van.
Az "E" két szélső oszlopa meg 1,5 * 4,5cm.
Nincs más - csak egy szál gitár - szidom a rendszert - forradalmár. - Én vagyok egyedül 88 telén. (Auróra)
-
CPT.Pirk
Jómunkásember
Ez nagyon érdekes mind, de új toroid trafóval kapcsolatban nem visz előbbre.
Nincs más - csak egy szál gitár - szidom a rendszert - forradalmár. - Én vagyok egyedül 88 telén. (Auróra)
-
CPT.Pirk
Jómunkásember
Egy tisztességes L-C szűrésben milyen nagyságrendű lehet a tekercs DC ellenállása? Mert mikor a lentebb említett trafómról ment 5 cső, akkor nem volt maci és akkoriban a CLC helyett CRC szűrést alkalmaztam.
Most a CLC-ben a tekercs egy fali dugasztáp primer tekercse, 430ohm ellenállással.
Nincs más - csak egy szál gitár - szidom a rendszert - forradalmár. - Én vagyok egyedül 88 telén. (Auróra)
-
CPT.Pirk
Jómunkásember
válasz Lompos48 #14911 üzenetére
Na ez egy jó kérdés, hogy mi volt korábban. Közben rájöttem, hogy mikor az 5 csatorna ment, akkor már elvileg CLC szűrés volt, aminek a tekercse egy akvárium fénycső előtét volt.
Ennyi infó maradt fent róla, mert sajnos fizikailag megsemmisült, a kivezetés belsejében a réz elporladt...
Végig fogom olvasni a linked, meg az Ágoston könyv is ír a fojtók tervezéséről, azt is megnézem. Igazából az elméleti kérdésem, hogy lehet-e a nagy DC ellenállással rendelkező tekercs az oka, hogy 3 csatornánál már esik az anód fesz 30V-t?
Egy Pcl86 csatorna felvétele az adatlapja szerint 30-40mA között van, + a fűtés.
Nincs más - csak egy szál gitár - szidom a rendszert - forradalmár. - Én vagyok egyedül 88 telén. (Auróra)
-
CPT.Pirk
Jómunkásember
válasz Lompos48 #14913 üzenetére
Nem mondtam h. nem az, csak ma délutánig nem fordult meg a fejemben. Kár h. nem tudom a fénycső fojtó adatait, de úgy rémlik, kb. 10V esett a szűrésen két csatorna esetén.
Azt tudom, hogy egy pc táp fojtó tekercse nem volt elég a maci szűrésére. Persze induktivitást nem tudok mérni, DC ellenállása kb. 40 ohm volt.
Nincs más - csak egy szál gitár - szidom a rendszert - forradalmár. - Én vagyok egyedül 88 telén. (Auróra)
-
CPT.Pirk
Jómunkásember
válasz moha21 #14916 üzenetére
A tápos tekercs egy passzív PFC-s táp fojtója volt. A másik meg amit PHM mond.
Lompos48: igen, erre gondoltam én is, mikor fogtam egy adapter primer tekercsét helyette.
[ Szerkesztve ]
Nincs más - csak egy szál gitár - szidom a rendszert - forradalmár. - Én vagyok egyedül 88 telén. (Auróra)
-
CPT.Pirk
Jómunkásember
Pont kaptam egy 36W-s fojtót, ezen alig esik 2V fesz, gyönyörűen szűr és lehet ez szubjektív, de javult a mély hang átvitel tőle. Trafó is jobban viseli a több csatornát, de ettől független egy új trafó beszerzésre fog kerülni.
totya696: itt nálunk a panelban most 229V.
[ Szerkesztve ]
Nincs más - csak egy szál gitár - szidom a rendszert - forradalmár. - Én vagyok egyedül 88 telén. (Auróra)
-
CPT.Pirk
Jómunkásember
válasz totya694 #14944 üzenetére
Ez normális, ilyenek mind. Tekerd fel az intenzitást a gépen, akkor látni fogod.
------------------------------------------------------------------------
Mindenkinek:Hol lehet olcsón venni elkót minimum 300V és 220uF felett? Itt mifelénk a kiskerben egy 300V/220uf kerül 850-be, míg egy 400 valamennyi uF-es 1050Ft.
Nincs más - csak egy szál gitár - szidom a rendszert - forradalmár. - Én vagyok egyedül 88 telén. (Auróra)
-
CPT.Pirk
Jómunkásember
válasz moha21 #14947 üzenetére
Köszi, ezek a kondik jók lesznek. Erősítőbe CLC szűréshez kellenek kellenek, 6-900uF értékben kell a tekercs elé meg mögé.
totya694:Akkor már öregebb a képcső a műszerben.
Igen, PC táp időnként jó forrás, de úgy be vannak forrasztva azok a szerencsétlen elkók, hogy alig lehet őket kiszedni, nem tudja a TRP átmelegíteni a forrasztást...
Nincs más - csak egy szál gitár - szidom a rendszert - forradalmár. - Én vagyok egyedül 88 telén. (Auróra)
-
CPT.Pirk
Jómunkásember
Nem tudom ki foglalkozott itt VHDL kóddal, de lenne egy kérdésem.
Kellene egy 24 bites fel / le számláló CPLD nekem, ami nem a rendszer órajelét számolja, hanem az egyik bemeneten érkező impulzusra felfelé számol, a másikon érkezőre meg lefelé.
Csináltam egy while ciklusos megoldást, de ez nem igazán HW-re való megoldás, a top modul implementációnál le is akad, mert a while ciklusnak nincs vége.library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity updown is
GENERIC(X : INTEGER := 24);
port(CLR, UP, DOWN : in STD_LOGIC;
Q : out STD_LOGIC_VECTOR ( (X-1) downto 0));
end updown;
architecture Behavioral of updown is
signal tmp: STD_LOGIC_VECTOR ( (X-1) downto 0);
begin
process (CLR, UP, DOWN)
begin
while (1=1) loop
if (CLR='1') then
tmp <= "000000000000000000000000";
elsif (UP = '1' and DOWN = '0') then
tmp <= tmp + 1;
elsif (UP = '0' and DOWN = '1') then
tmp <= tmp - 1;
end if;
Q <= tmp;
end loop;
end process;
end Behavioral;Ez az elgondolás a programozásból fakad, valakinek van valami jobb ötlete? Googliztam már a témában, de leginkább az óra jelet számolgatják, nem impulzusokat.
Nincs más - csak egy szál gitár - szidom a rendszert - forradalmár. - Én vagyok egyedül 88 telén. (Auróra)
-
CPT.Pirk
Jómunkásember
válasz CPT.Pirk #15016 üzenetére
Na végre megtaláltam a logikáját a dolognak, belső állapot jelző szignálokkal egy impulzust csak egyszer számol meg a cucc, és nem annyiszor, ahány órajel impulzus bejön a külső impulzus alatt. Furcsa h. mennyire nehéz volt megtalálni, bár így végiggondolva baromi logikus a megoldás.
entity udcounter is
generic ( WIDTH : integer := 8);
port (CLK, UP, DOWN, RESET : in std_logic;
Q : out unsigned(WIDTH-1 downto 0) );
end entity udcounter;
architecture udcounter_a of udcounter is
signal cnt : unsigned(WIDTH-1 downto 0);
signal up1, dw1 : std_logic;
begin
process(RESET, CLK)
begin
if RESET = '1' then
cnt <= (others => '0');
elsif rising_edge(CLK) then
if (UP='1' and up1='0' and DOWN='0') then
cnt <= cnt + 1;
elsif (DOWN='1' and dw1='0' and UP='0') then
cnt <= cnt - 1;
--else leave cnt unchanged
end if;
up1 <= UP;
dw1 <= DOWN;
end if;
end process;
Q <= cnt;
end architecture udcounter_a;Már csak át kell alakítanom a saját céljaimra.
Nincs más - csak egy szál gitár - szidom a rendszert - forradalmár. - Én vagyok egyedül 88 telén. (Auróra)
-
CPT.Pirk
Jómunkásember
Milyen eltéréseket egyenlít ki az a kettő ellenállás az elkókkal párhuzamban, az alábbi elrendezés? Nem magyarázzák meg az Audiofil varázs c. könyvben, csak annyit írnak, hogy kiegyenlítik az eltéréseket a két kondi között.
Nincs más - csak egy szál gitár - szidom a rendszert - forradalmár. - Én vagyok egyedül 88 telén. (Auróra)
-
CPT.Pirk
Jómunkásember
válasz PH-User #15048 üzenetére
Nézz bele, nincs-e benne pár púpos, vagy belét kihányt elektrolit kondenzátor, lehet csak elszállt a táp szűrése.
Nekünk egy konyhai sütő kijelzőjén futkosnak a számok, ha éppen egy áramszünet után olyanja van, akkor a köv. áramtalanításig megállás nélkül ez van.
Nincs más - csak egy szál gitár - szidom a rendszert - forradalmár. - Én vagyok egyedül 88 telén. (Auróra)
-
CPT.Pirk
Jómunkásember
válasz pumatom #15072 üzenetére
Csak simán "ledes villogó" néven találsz csomót, pl itt rögtön 4 félét: [link]
A legegyszerűbbek sima astabil multivibrátoros kapcsolások, minimális alkatrészigénnyel.
*már ha jól értem, és erre van szükséged.
[ Szerkesztve ]
Nincs más - csak egy szál gitár - szidom a rendszert - forradalmár. - Én vagyok egyedül 88 telén. (Auróra)
-
CPT.Pirk
Jómunkásember
válasz _ATi_1 #15134 üzenetére
Világíts rá lámpával, ha látod a képet, akkor a háttérvilágítás ment ki. Vagy az inverter, vagy a ccfl fénycső, mindkettő beszerezhető vaterán.
Az is lehet, hogy csak elégett a vezeték, ami a ccfl-re viszi a nagyfeszt, pont így jártam tegnap laptopommal, pont olyan jelenségeket produkált, amit te leírtál. Meg is javítottam egy kis vezeték toldással és ment is, de sikerült véletlen eltörnöm a csövet összeszerelés közben, szóval most várok a vaterás csere csőre...
[ Szerkesztve ]
Nincs más - csak egy szál gitár - szidom a rendszert - forradalmár. - Én vagyok egyedül 88 telén. (Auróra)
-
-
CPT.Pirk
Jómunkásember
válasz totya694 #15140 üzenetére
Láttam ilyen megoldásokat, de normál fehér leddel. Igazából elég jó végeredményt adnak. Tegnap kerestem, de nem találtam meg az oldalt a képekkel. Ha este nem találom meg a ccfl hírdetést vaterán, akkor vagy vaz, vagy led szalag lett volna nálam is.
Nincs más - csak egy szál gitár - szidom a rendszert - forradalmár. - Én vagyok egyedül 88 telén. (Auróra)
-
CPT.Pirk
Jómunkásember
válasz PH-User #15153 üzenetére
Hallottam valakiről, aki ilyennel próbálkozott, mikrovezérlőt tett a simsonjára, közel a gyújtáshoz. Azt nem tudom, sikerült-e neki egy ilyen zavar teli környezetben.
Nincs más - csak egy szál gitár - szidom a rendszert - forradalmár. - Én vagyok egyedül 88 telén. (Auróra)
-
CPT.Pirk
Jómunkásember
Van egy elméleti kérdésem.
Van egy inkrementális jeladó, ami 2 kimenetű, mindkét kimenetén 50%-os négyszögjel van forgáskor, de a forgásiránytól függően hol az egyik, hol a másik kimenet van eltolva 90 fokkal, hogy meg lehessen állapítani a forgásirányt, és az ezt követő fel/le számláló tudja, hogy mikor merre kell.
Elvileg a D tároló alkalmas a számlálási irány eldöntésére, de nem akar sikerülni a dolog. Ötleteket várok...
Nincs más - csak egy szál gitár - szidom a rendszert - forradalmár. - Én vagyok egyedül 88 telén. (Auróra)
-
CPT.Pirk
Jómunkásember
válasz Lompos48 #15202 üzenetére
Köszönöm, ez is jó, működik!
Amire gondoltam, hogy egy darab d tároló ad egy jelet, ami alapján a számláló fel/le számol. Elvileg lehet, mert ilyen megoldást javasolt a tanár úr.
Nincs más - csak egy szál gitár - szidom a rendszert - forradalmár. - Én vagyok egyedül 88 telén. (Auróra)
-
CPT.Pirk
Jómunkásember
válasz Speeedfire #15263 üzenetére
Igen, az egyenirányítás és a táp is rajta van a nyákon.
Nincs más - csak egy szál gitár - szidom a rendszert - forradalmár. - Én vagyok egyedül 88 telén. (Auróra)
-
CPT.Pirk
Jómunkásember
válasz Speeedfire #15265 üzenetére
Nincs hozzá kapcsolási rajz, de a csatlakozókból ítélve elvileg hídba van kapcsolva a két ic, így két ilyen panellal kell számolnod, az meg 20USD.
Vannak magyar oldalon olcsóbban ilyen cuccok, kapcsolással és leírással, nem tudom mekkora igényeid vannak watt terén. [link]
Nincs más - csak egy szál gitár - szidom a rendszert - forradalmár. - Én vagyok egyedül 88 telén. (Auróra)
-
CPT.Pirk
Jómunkásember
válasz Speeedfire #15269 üzenetére
A linkelt oldalon van TDA2030-as kit, az pont 2*14W-t tud, és gyakorlatilag hűtés nélkül zárt helyen sem lehet elpusztítani (próbáltuk ), valamint olcsó. Első erősítőnek teljesen jó.
Pl. ideális megoldás kicsit feldobni egy erősítő nélküli hangfalat, vagy pc speakert.
Nincs más - csak egy szál gitár - szidom a rendszert - forradalmár. - Én vagyok egyedül 88 telén. (Auróra)
-
CPT.Pirk
Jómunkásember
Az ADM696 IC-nél a tipikus alkalmazás rajzánál mi lehet az R1- R4 létra értéke? Valami olyat írnak, hogy a "jól ismert létra". [link]
Nem kell precízen, csak elméleti rajzhoz kellene.
Nincs más - csak egy szál gitár - szidom a rendszert - forradalmár. - Én vagyok egyedül 88 telén. (Auróra)
-
CPT.Pirk
Jómunkásember
válasz Lompos48 #15361 üzenetére
Köszi. Igazából a tudásából csak annyit használtam, hogyha elmegy a betáp, akkor rákapcsol az akkura, addig meg töltve tartja. Így a mikrovezérlő, cpld tovább mennek, a sokat zabáló display, stb. meg nem kap tápot addig.
[ Szerkesztve ]
Nincs más - csak egy szál gitár - szidom a rendszert - forradalmár. - Én vagyok egyedül 88 telén. (Auróra)
-
CPT.Pirk
Jómunkásember
válasz Speeedfire #15364 üzenetére
Ugyan volt trafó mérés órám, de ezt nem tanítják rajta. Viszont egy egyszerű, akár 1 multiméterrel is elvégezhető mérés az alábbi:
Ez alapján amerre a hatásfok görbe kezd vízszintes felé menni, arra felé van a trafó névleges teljesítménye.
Valamint még lehet saccolni a huzal átmérő és a vaskeresztmetszet alapján, ahogy nekem is magyarázták lentebb.
[ Szerkesztve ]
Nincs más - csak egy szál gitár - szidom a rendszert - forradalmár. - Én vagyok egyedül 88 telén. (Auróra)
-
CPT.Pirk
Jómunkásember
válasz totya694 #15383 üzenetére
7812 és társai pont h. kis zajú eszközök a lineáris voltuk miatt, de ettől független véletlen sem tennék ilyet erősítőben. Sokkal célszerűbb megfelelő szekunder feszültségű trafót beszerezni, és akkor nem is vagy korlátozva az áram terén, míg 7812 elég sokat tud fűteni, ha nagy a fesz lépcső és / vagy az átfolyó áram értéke.
[ Szerkesztve ]
Nincs más - csak egy szál gitár - szidom a rendszert - forradalmár. - Én vagyok egyedül 88 telén. (Auróra)
-
CPT.Pirk
Jómunkásember
válasz Speeedfire #15387 üzenetére
Ha az 15338-ban lévőről van szó, ott egy IC 20W-s, az 42V tápnál 0.47A, az sztereóban 0.9A, szóval elégnek kell lennie.
Egyébként az a rajz nem jó, a 30V váltóból 42V egyen fesz lesz. Ha máshogy rossz a rajz, akkor 30V egyen mellett is beleférsz a 2A-be.
[ Szerkesztve ]
Nincs más - csak egy szál gitár - szidom a rendszert - forradalmár. - Én vagyok egyedül 88 telén. (Auróra)
-
CPT.Pirk
Jómunkásember
válasz totya694 #15393 üzenetére
A CB egy dolog, az nem hifi, oda elmegy. Viszont ez a T-Amp cucc abban a formában kissé vicces.
Mondjuk a dolog ott kezdődik, hogy nem számítógépről hallgatunk zenét, ha minőséget akarunk, még akkor sem, ha megvan flacben az anyag. Szépen ki kell írni zenei cd-re, és egy tisztességes lejátszóba kell tenni.Speeedfire:
Hirtelenjében én sem tudnék válaszolni rá, hogy honnan jön a többlet teljesítmény amit elfűt, vagy a franc tudja. Erősítéstechnikát nekünk sem tanítottak villmérnökin, csak utoljára középsuliban de erre ott sem tértek ki.Viszont megnézve az IC-t, a mélyet és a magasat elég durván torzítja, nem valami fain cucc.
[ Szerkesztve ]
Nincs más - csak egy szál gitár - szidom a rendszert - forradalmár. - Én vagyok egyedül 88 telén. (Auróra)
-
CPT.Pirk
Jómunkásember
válasz Speeedfire #15404 üzenetére
Csak helyreállt a rend, a fűtés nem a semmiből jön. Szóval megnézed a neked kellő görbét, pl. a 8 homosat, ott a 20W-hez tartozó asszem 30W disszipáció, a kettő együtt 50W, vagyis P=U*I alapján ebből már tudod az áramot, csak meg kell szorozni kettővel.
Lompos48: Jó oké, de akkor is! Ahhoz szoktam, mikor a felső határfreki 100kHz felett van, nem 10 kHz-en
[ Szerkesztve ]
Nincs más - csak egy szál gitár - szidom a rendszert - forradalmár. - Én vagyok egyedül 88 telén. (Auróra)
-
CPT.Pirk
Jómunkásember
válasz Speeedfire #15413 üzenetére
Az első mondat igen, a többit nem értem.
szerk: ha arra gondoltál, hogy a trafó 30V 2A, akkor ahhoz kell erősítőt keresni, ez a kapcsolás túl sok neki.
Lompos48: jó volt!
[ Szerkesztve ]
Nincs más - csak egy szál gitár - szidom a rendszert - forradalmár. - Én vagyok egyedül 88 telén. (Auróra)
-
CPT.Pirk
Jómunkásember
válasz Speeedfire #15415 üzenetére
Őőő, ha a trafó gyengesége miatt feladja 15W-nél a 2 csatorna, az nem kellemes. Értelmes hallgathatósághoz túl kell méretezni a trafót 2x.
Magyarul keress valami csatornánként 10-15W max teljesítményű IC-t, ez a trafó ahhoz való.Nincs más - csak egy szál gitár - szidom a rendszert - forradalmár. - Én vagyok egyedül 88 telén. (Auróra)
-
CPT.Pirk
Jómunkásember
válasz Lompos48 #15420 üzenetére
Való igaz. Én abból indultam ki, hogy nem akar később még rákölteni.
Speeedfire: érdemes lenne megnézni azt a trafót, legalább a külső méreteiből már lehetne valamit mondani, meg szekunder feszültségeket, huzalátmérőt tudsz rajta mérni.
Am. gondold meg, hogy kell-e ekkora kimenő teljesítmény. Mekkora hangfalakat hajtasz meg majd vele?
Nincs más - csak egy szál gitár - szidom a rendszert - forradalmár. - Én vagyok egyedül 88 telén. (Auróra)
-
CPT.Pirk
Jómunkásember
válasz Speeedfire #15422 üzenetére
Arra utaltam, hogy pl. ha két 20W-s hangfalra rakod rá a 2*20W-s erősítőt, akkor a hangfalak ki fogják köpni a tüdejüket. Bár ezt gondolom tudod, de nem beszéltük még, hogy min fog megszólalni a cucc.
Nincs más - csak egy szál gitár - szidom a rendszert - forradalmár. - Én vagyok egyedül 88 telén. (Auróra)
-
CPT.Pirk
Jómunkásember
válasz Speeedfire #15432 üzenetére
A hangfalnak az erősítő teljesítményének kétszeresét kell tudnia.
Szóval az az erősítő jelenleg túl erős a hangfalnak és a tápnak is. Megfontolnám valami kisebb teljesítményű cucc készítését, csak oda meg a trafó szekundere sok. Nem egyszerű.
Nincs más - csak egy szál gitár - szidom a rendszert - forradalmár. - Én vagyok egyedül 88 telén. (Auróra)
-
CPT.Pirk
Jómunkásember
válasz Speeedfire #15434 üzenetére
Azokat a Magnatokat mindenképpen szerezd be! Abszolút nem probléma, hogy nagyobb teljesítményt bírnak, sőt, valószínűleg még érzékenyebbek is lesznek a piciknél, vagyis azonos bepumpált teljesítményre nagyobb hangerőt produkálnak.
moha21: egyrészt, valaki úgy is rá fogja tekerni tövig, ha más nem, akkor egy jó barát, másrészt meg nem suttogót készít.
[ Szerkesztve ]
Nincs más - csak egy szál gitár - szidom a rendszert - forradalmár. - Én vagyok egyedül 88 telén. (Auróra)
-
CPT.Pirk
Jómunkásember
válasz Speeedfire #15440 üzenetére
Remek, mert hallani fogod a hatását.
Nincs más - csak egy szál gitár - szidom a rendszert - forradalmár. - Én vagyok egyedül 88 telén. (Auróra)
-
CPT.Pirk
Jómunkásember
válasz Speeedfire #15442 üzenetére
Nincs ilyen szabály, minél közelebb rakod őket, annál rövidebbek lesznek a jelvezetékek, így annál kevésbé működnek antennaként.
Igaz extrém eset és nem is a nyák kialakításához kapcsolódik, de egy TDA2030-as kittel sikerült befognom a Kossuth rádiót, mikor rátettem egy 3m hosszú spirális kialakítású jack hosszabbítót, aminek voltak gondjai az árnyékolással...Majd a földelések kialakításánál figyelj a csillagpontos kialakításra.
Lompos48: úgy, csak nem tettem hozzá.
Nincs más - csak egy szál gitár - szidom a rendszert - forradalmár. - Én vagyok egyedül 88 telén. (Auróra)
-
CPT.Pirk
Jómunkásember
válasz Speeedfire #15445 üzenetére
Nos, "grundon" Nemecsek Ernőék játszottak.
A "fő ground" a csillagpont, igen, lehetőség szerint oda köss be mindent. Mondjuk egy ilyen kis panelnál nem annyira lényeges, csinálhatod akár így is,
mint a képen van, az eagle "ratsnet" funkciója kitölti a nyákot "gnd fóliával", ha tudod hogyan kell használni. Így kialakul egy nagy földpont, ez is tökéletes megoldás jelen esetben. A pinek beforrasztása jó, csak ha később sokat nyomod nekik a pákát, kijöhetnek a nyákból, szal az a jó, ha kicsit szorulnak is a furatban.
Maga a csillagpontos föld koncepció jól látszik skori weblapján: [link]
[ Szerkesztve ]
Nincs más - csak egy szál gitár - szidom a rendszert - forradalmár. - Én vagyok egyedül 88 telén. (Auróra)
Új hozzászólás Aktív témák
- KERÉKPÁR / BRINGA / ALKATRÉSZ beárazás
- Parfüm topik
- Mindenkinek elérhetővé teszi a képgenerálást a Lossless Scaling
- Motoros topic
- Két fontosabb újítással megjelent az iOS 17.5
- Ukrajnai háború
- Milyen okostelefont vegyek?
- Politika
- Elemlámpa, zseblámpa
- Bambu Lab X1/X1C, P1P-P1S és A1 mini tulajok
- További aktív témák...
- AKCIÓ! Szépségápolás, Haj - és Szakállápolási márkás gépek - BOLTI ÁR FELÉÉRT!
- Bomba! HP EliteBook 1040 G7 x360 Érintős Hajtogatós Ultrabook Tab 14" -70% i7-10710U 16/256 FHD LTE
- BONTATLAN Új Iphone 15 és 15 Plus 128-512GB 1év APPLE garancia gyári független Deák AZONNAL Átvehető
- BONTATLAN Új Iphone 13 128-512GB 1év hivatalos Apple garancia gyári független Deák Azonnal Átvehető.
- ÚJ BONTATLAN Apple Watch Series 8 S8 41-45mm Azonnal Átvehető DEÁK TÉRNÉL 1 Év Apple Garanciával.
Állásajánlatok
Cég: Ozeki Kft.
Város: Debrecen
Cég: Alpha Laptopszerviz Kft.
Város: Pécs