- Milyen CPU léghűtést vegyek?
- Fél tucat Core Ultra CPU jöhet az asztali piacra
- Milyen monitort vegyek?
- Milyen asztali (teljes vagy fél-) gépet vegyek?
- Milyen billentyűzetet vegyek?
- Azonnali informatikai kérdések órája
- Melyik tápegységet vegyem?
- AMD Ryzen 9 / 7 / 5 / 3 5***(X) "Zen 3" (AM4)
- AMD Catalyst™ driverek topikja
- Sony MILC fényképezőgépcsalád
Hirdetés
-
Nem lesz olcsóbb a Razr 50 Ultra
ma A minap az első fotók érkeztek meg, most az első tippek az árakra.
-
Bemutatta ZUFS 4.0-s memóriáját az SK Hynix
ph A Zoned UFS technológia fejlesztése még 2019-ben kezdődött, és pont kész lett az AI betörésére.
-
Marvel Rivals - Középpontban Hela
gp Folytatódik a karakterbemutatók sorozata, a legújabb részben a Halál Istennője kerül a középpontba.
-
PROHARDVER!
Ez itt, az elektronikával hobbiból foglakozók fórumtémája.
Lentebb összegyűjtötttem néhány elektronikával kapcsolatos, hasznos linket.
Új hozzászólás Aktív témák
-
Kommy
veterán
Lompos48, totya694: Köszönöm szépen a válaszokat.
[ Szerkesztve ]
-
őstag
Gyerek koromba emlékszem volt olyan hungarocell vágó ami lapos elemről működött(iagz zabálta is de nem napi 8 órába volt használva)
Hajszál vékony ellenállás huzal és egy olyan kerete volt mint a lombfűrész csak elvolt szigetelve az egyik befogató.Üdv:Attila
-
superecneB
őstag
Hello
Mi adhat búgó hangot a Logitech X-540 mélyládájában, ha áram alatt van?
[ Szerkesztve ]
-
hcs57
őstag
válasz superecneB #11606 üzenetére
Pl. a trafó.. ha a lemezek nem jól vannak összeszorítva.
Okos vagy, ha csak a felét hiszed el annak, amit hallasz. Zseniális, ha tudod, melyik felét. forrás: mozaik.bloglap.hu
-
őstag
válasz superecneB #11606 üzenetére
Egy kicsit jobban is körül írhatnád azt a brummot.
Egyik napról a másikra jött a brumm vagy így vetted?Ha nincs a bemenetén semmi akkor is brummos(vagy ha van de szakadt a kábel)Üdv:Attila
-
őstag
-
superecneB
őstag
válasz totya694 #11609 üzenetére
Hello
Nem olyan amit a fórumban írnak. Nekem csak simán ha áram alatt van, a gép kikapcsolva, egy folyamatos zúzó-búgó hangot ad ki. Kb. 1 éve vettem észre, de lehet már az előtt is ezt csinálta csak nem figyeltem fel rá. Áramtalanítani szoktam ha nagyon zavar és aludnék. Csak ez nem olyan kellemes megoldás Megjavíttatnám ha javítható és találnék rá szakembert. -
CPT.Pirk
Jómunkásember
válasz superecneB #11611 üzenetére
Ugyanilyen hibája volt nálam a "fadobozos" Genius 5.1 rettenetnek, kikapcsolva is jött a hálózati 50Hz a mélynyomóból. Azt szétszedtem, de olyan idióta módon volt szerelve, hogy nem fértem hozzá semmihez. Egyébként maga az elektronika benne semmiféle árnyékolást nem kapott, csak be volt építve a 2-3 nyáklemez a fába.
Egyszerű megoldása a hibának az éjszakára áramtalanítás. Meg egy gyors eladás.
Nincs más - csak egy szál gitár - szidom a rendszert - forradalmár. - Én vagyok egyedül 88 telén. (Auróra)
-
superecneB
őstag
válasz CPT.Pirk #11612 üzenetére
Hát igen, de áron alul eladni... akkor már inkább azt a pénzt amit buknék rajta rákölteném hogy megcsináltassam. Ez az áramtalanítósdi meg csak átmeneti megoldásként jöhet számba. Nagyon azt sem tudom milyen hangfalat tudnék venni ebben az árban... szal csak jó lenne megjavíttatni
-
CPT.Pirk
Jómunkásember
válasz superecneB #11613 üzenetére
Én arra tippelek, hogy a hálózati trafó mágneses (már amennyire azt lehet árnyékolni) árnyékolatlansága okoz gondot, mivel nagyon közel van hozzá a mélynyomó sok menetes tekercse. Gondolom terheletlenül a szórás érződik ki.
Aztán még lehet, hogy a standby áramkör okoz gondot, de látni kellene a belsejét.Nálam sajnos minden be volt burkolva valami ótvar fekete textil szövettel, amin át voltak cibálva a vezetékek, így nem tudtam érdemben megnézni, milyen tápja van, és milyen szűrés van benne.
[ Szerkesztve ]
Nincs más - csak egy szál gitár - szidom a rendszert - forradalmár. - Én vagyok egyedül 88 telén. (Auróra)
-
őstag
válasz superecneB #11611 üzenetére
Én elég sokat játszottam ilyen-olyan hangrendszerekkel a PC-hez és számomra ez a végfok jött be a legjobban 2db Grundig hangfallal.
Talán azért mert ennek természetesebb a hangja...
Ha kell akkor a 3x4m-es műhelyem is feltölti hanggal úgy,hogy még engem is kiszorít
Szép hangjuk van a csöves erősítőknek is de azok nem kimondottan a PC-khez valók.Üdv:Attila
-
CPT.Pirk
Jómunkásember
válasz totya694 #11615 üzenetére
Jelenleg én is frankó, tranyós erősítőkkel vagyok a gépre kötve, per pill 3 darab sztereó, hogy meglegyen az 5.1...
Egyébként készül a csöves 5.1 is a géphez, csak alig van időm, és nagyon nem egyszerű összehozni a vonali szintű jelekkel az erősítését a pcl/ecl 86 csöveimnek.Nincs más - csak egy szál gitár - szidom a rendszert - forradalmár. - Én vagyok egyedül 88 telén. (Auróra)
-
őstag
válasz CPT.Pirk #11614 üzenetére
Nem szokták túl variálni a tápot.Trafó>4 dióda>puffer kondi>néha a dióda lábak közé pár nF-os kondik.
Régebbi eszközbe odafigyeltek az ilyesmire árnyékolólemezzel vették körül a trafót és akkor nem szórt mágneses mezőt.A toroid trafóknak a legkisebb a szórásuk és HiFi cuccokba még azokat is leárnyékolták.Üdv:Attila
-
őstag
válasz CPT.Pirk #11616 üzenetére
Ha csövest kötök a PC-re még azt is lehet hallani ahogy "matekozik" a proci
Tőlem is okosabb emberkéktől hallottam,hogy csövest csak úgy "érdemes" PC-re kötni ha USB-s hangkariról küldöd a jelet a csövesre.
Amúgy sok sikert az 5.1 csöveshez(nem kis munka lesz)[ Szerkesztve ]
Üdv:Attila
-
CPT.Pirk
Jómunkásember
válasz totya694 #11618 üzenetére
Nem, szerencsére nem így van, legalább is nem minden csövesnél. Konkrétan már haszánltam teszt erejére 5 pcl/ecl csatornát a gépről, és nem jött át a "matekozás". Sőt, gyönyörű hangjuk volt.
Most az egyik tranyós erősítőimnél megfigyelhető a dolog, gagyi kábellel van a gépre kötve.
Pl. ami okozza:
- külön földelésen van a gép és az erősítők a lakásban
- földhurkok alakulnak ki a jelvezetékekben
- konkrét példa tőlem: [link]Toroid trafó: az meg csak akkor jobb a simánál, ha legalább 2,5-3X van túlméretezve a teljesítménye, ellenkező esetben rosszabb lesz a szórása, mint a sima trafónak.
szerk: amennyit láttam a Geniusból, annak is ilyen átlagos tápja volt a szokásos kialakításban.
[ Szerkesztve ]
Nincs más - csak egy szál gitár - szidom a rendszert - forradalmár. - Én vagyok egyedül 88 telén. (Auróra)
-
-
Lompos48
nagyúr
válasz superecneB #11621 üzenetére
1. Tedd arrébb a hosszabbítót vagy a ládát, próbáld úgy!
2. A vasmag lemezei nem brummognak (búgó hang), hanem percegnek, cirpelnek inkább.
3. A test/árnyékolás hibája lesz az. Először zárd rövidre a láda bemenetét. Ha eltűnik a brumm, akkor a ládán kívül, ha nem akkor a ládán belül keress vacak kábelezést vagy szakadást leginkább a földszálon, árnyékoláson.[ Szerkesztve ]
-
mezis
nagyúr
válasz superecneB #11613 üzenetére
Egy igazi kapcsoló, ami a trafót áramtalanítja lassan már kötelező lesz. Bizonyára megoldható. (Számold ki, lehet akár 2-3 W is a veszteségi teljesítmény - óránként...)
Lehet, hogy a trafó egyébként jelentéktelen zümmögését erősíti fel szerencsétlen akusztika. (Nekem egy maréknyi Thomson ébresztőórás rádió trafócskája okozott éjszaka elviselhetetlen dörmögést amíg nem állítottam puha szilikongumi lábakra az egész rádiócskát. Pedig az ilyen kicsi trafók lemezeit néha egyszerűen összehegesztik és még így is tudnak zümmögni. A tekercs meneteire ezt még Kínában sem alkalmazzák - egyelőre )
Megnéztem jobban a Logitech X-540-et, nagyjából olyasmi mint az én Creative Labs Inspire T7700-asom. Annak is a "csupaszon" van a mélynyomóban az elektronika, de a trafója külön van, "lengő"-ben.
Árnyékolással főként az elektrosztatikus zavar ellen lehet védekezni, arra megteszi az alu lemez is, a mágnesessel szemben állítólag sokkal nehezebb. A tranzisztorok kis feszültségű áramköreinél meg nem olyan fontos az elektrosztatikus árnyékolás, mint a csöveseknél. Legalábbis ezt olvastam évtizedekkel ezelőtt. Akkor még nem voltak 400-as bétájú tranzisztorok meg FET-ek sem ....
[ Szerkesztve ]
mezis
-
-
Lompos48
nagyúr
Nem tudom kihagyni:
Akkor még nem voltak 400-as bétájú tranzisztorok meg FET-ek sem ...
1970 körül már "feltalálták" a BC107, 108, 109 családot. Ezeknek a C változatát - alias BC109C például - a gyártó 450-1050-es betával válogatta, hogy betartsa a katalógusban szereplő 500-1000-es értéksávot.
[ Szerkesztve ]
-
mezis
nagyúr
-
Lompos48
nagyúr
válasz superecneB #11626 üzenetére
NEEEEM! Azt a kettőt, amin az audio jel jön.
-
Lompos48
nagyúr
Mindkettőnknek igazunk van. A "piszok kapitalisták" embargó alatt tartottak minden modernebb technológiát. Ahol pedig ideiglenesen tartózkodtak a szovjetek, oda ömlött a CCCP termékek özöne. Egyébként a BC család a franciákon keresztül már 1971-ben bejutott a lágerbe, mint technológia, pontosabban Romániába.
-
Phvhun
őstag
Össze lehetne hozni egy elsőhsz-t.
-
Batman2
őstag
válasz Lompos48 #11594 üzenetére
Szevasz
Egyelőre a saját járgányomhoz igazítom, nem tervezem, hogy áthelyezem, vagy sorozatban gyártanám és kellene más járgányokhoz, persze kizárva semmi sincs.
Most a CMOS számláló és kijelző vezérlő IC-ket és dititális fordulatszámmérő, számláló, stb, funkciójú, de számláló alapú kapcsolásokat gyüjtögetem és tanulmányozom !
Tervem, hogy építek egy digitális, számláló alapú, szegmenses LED kijelzésüt is, ha az jól sikerül, akkor talán a fordulat értékeket is jobban tudom majd megállapítani, kvarc alaphoz viszonyítva !Előszőr 4 digites kijelzésűn gondolkodtam,de mire rátaláltam a hozzá szükséges 4 digites számlálóra és példakapcsolásra, addigra rájöttem, hogy fölösleges és valszeg zavaró is 4 diites kijelzés, mivel a a legkisebb értéket jelző kijelző állandóan ugrálna a fordulatszám legkisebb változására is !
Elég 3 digit, és nem kell hozzá se 4 se 3 digites számláló (3 digiteset hamar találtam, csak relatíve drága), hanem (kicsit tovább fejlődve) fel lehet azt építeni egyszerű, kaszkádba kötött, 4 bites számlálókból is !
Igaz, ekkor minden digithez külön dekódoló-tároló-LED maghajtó (pl.4511) is kell,
a multiplex áramköröket még nem ismerem annyira, hogy meg tudjam valamelyikkel oldani a közös vezérlést.Egyébként szimpatizálok a Skori féle kapcsolással !
Üdv.
Batman2 - Viva la Mercedes W123-200D 1979
-
CPT.Pirk
Jómunkásember
Egy pici vhdl segítséget kérnék. Megírtam életem első kódját, szintakszis rendben, implementálható is, csak logikailag kellene átnézni egy picit.
Lényeg annyi, hogy egy 32 bites shift regiszter, párhuzamos bemenetei és soros kimenete van. Ez fogja összekötni majd a frekimérőben a cpld számoló részét a 8051-el, ami az érték megjelenítését végzi.
library ieee;
use ieee.std_logic_1164.all;
entity piso_shift_register is
GENERIC(x : INTEGER := 32);
port(DATA : in std_logic_vector(X-1 downto 0);
CLK : in std_logic;
Q : out std_logic);
end piso_shift_register;
architecture archi of piso_shift_register is
signal tmp: std_logic_vector(X-1 downto 0);
begin
process (CLK,DATA)
variable i: integer;
begin
if (CLK'event and (CLK = '1') and (CLK'last_value = '0')) then
if ( i <= (X-1)) THEN
Q <= DATA(i);
i := i+1;
end if;
end if;
end process;
end archi;Konkrétan a a ciklus számláló "i" működésében nem vagyok teljesen biztos.
Nincs más - csak egy szál gitár - szidom a rendszert - forradalmár. - Én vagyok egyedül 88 telén. (Auróra)
-
őstag
A kép alapján egyértelműen látszik,hogy aszinkron motor.Írja is az adat tábláján,hogy 40uF-os kondi(ha jól olvastam) van neki elő írva.
Ezekben a nagyobb teljesítményű villanymotorokban én sem vagyok valami jártas de ha emlékeim nem csalnak akkor 1 fázisú aszinkron motoroknál bizonyos teljesítmény felett még indító relét is alkalmaznak.Üdv:Attila
-
atesss
addikt
válasz atesss #11286 üzenetére
Üdv ismét !
Azóta nem csináltam meg még mindig a töltőt.
Most a diódával és a hűtésével nem lesz időm foglalkozni, ezért egyenlőre összeraknám ahogy volt (a telefon nem töltődik rendesen számítógépről sem, más USB-s töltőről meg sehogy sem ! - és ez így elég kényelmetlen), csak a kábel-törést megcsinálva.
Persze csak valami gumival vagy gyenge ragasztóval összefogva, hogy pár hét múlva tudjam folytatni.
De az lenne a kérdésem, hogy az eredeti kábelen melyik a + és a - ér, a teljesen fekete, vagy az amelyikben van egy kis fehér is ? Elfelejtettem, és csak egy sejtésem van - de nem vagyok biztos benne hogy mindenhol így szín-kódolják.
Ha meg fordított polaritás megy rá a telefonra, az nem lenne jó...Kimérni ugye nem nagyon tudom (hogy egyezik-e a számítógépes kábelről jövő "kiosztással"), mivel nincs microUSB aljzatom, vagy ilyen csatlakozós rossz készülékem.
Egy microUSB csatlakozót meg ugye multiméter hegyével nem lehet megmérni a mérete miatt. -
erikke17
őstag
Üdv, tegnap megint megbolondult UPS-em, szoftverben az akku töltöttséget össze vissza mutatta és halk kattogó hangot adott ki miközben a bekapcsoló gomb háttérvilágitása vibrálva világitott.
És innen jön a gyanú egy asztali lámpa trafójára(már ha az?), mert amint kikapcsoltam a lámpát beállt az akku töltöttség nem ingadozott, de a halk kattogó hang ugyan úgy jött és vibrált a LED. Lehetséges hogy ez a trafó valamiféle zavart kelt a villamos hálózatban? Mert ugyan arról a konnektorról megy a gép és a lámpa is. Aztán azt csináltam hogy UPS kihúz majd a gépet egyszerűen csak bedugtam UPS nélkül nem akartam hogy valami baja legyen valamiek, reggel UPS-be dugtam gépet bekapcsoltam és jó volt.De mind ez ismét ugyan úgy hogy 250V jött és ment az asztali lámpa valami összefüggés lenne?
Egyszerűen nem tudom hol keressem a hibát Most az UPS lenne rossz vagy a trafó szarozik a lámpának?
Előre is köszönöm.
||Wot / WarThunder - Erixx93|| Steam - erixx18 ||Xbox Series X - Erikk24 || BMW R1200GS || Opel Astra F X16XEL|| BMW E39 530d 142kW||DJI Mavic Pro||
-
erikke17
őstag
válasz erikke17 #11641 üzenetére
Na szét szedtem trafót, abba az ég világon nincs semmi azon kivül hogy bemegy két kábel trafóba, meg kijön két kábel ennyi, nem mai darab ez a asztali lámpa neoncsöves. Esetleg valaminek kéne még benne lenni?
Trafóra ez van irva:
Power Supply Type BL1
For PL Lamps 7/9/11 W
230V 50Hz 0.17A
Hirtelen nem tudom most cosinus e akar oda irva lenni de utána még ez a szám van 0.45
TW105 Celsius
Ha ez bolonditja meg az UPS-t dobom is ki.
És büdös is volt a trafó mint a fene, meg tűzforró szokott lenni mikor sokat megy.[ Szerkesztve ]
||Wot / WarThunder - Erixx93|| Steam - erixx18 ||Xbox Series X - Erikk24 || BMW R1200GS || Opel Astra F X16XEL|| BMW E39 530d 142kW||DJI Mavic Pro||
-
őstag
válasz erikke17 #11642 üzenetére
Hali
Milyen idős a szünetmentesed?Iktasd ki az asztali lámpádat és úgy próbáld a szünetmentest használni.
"Elméletileg" nem zavarhatná meg az asztali Neonod tápja de sose lehet tudni...(egy gyenge minőségű kapcsoló üzemű tápról megy a neoncső tulajdon képen minden energia takarékos neonnak ez a lényege)Üdv:Attila
-
erikke17
őstag
válasz totya694 #11643 üzenetére
1 éves a szünetmentes ez volt a második eset igen most asztali lámpa kiiktatva, rejtélyes módon megint mikor ment az asztali lámpa és 248V fölött jött a feszültség akkor jött ez elő, de ez nem kapcsolóüzemű semmi elektronika nincs, szétszedtem rendes vasmagja van két kábel bele két kábel ki ennyi+még a kapcsoló fent a lámpán. És hát mit mondjak nem mai darab több mint 10 éves tehát nem csodálkoznék ha az csinálná a galibát.
||Wot / WarThunder - Erixx93|| Steam - erixx18 ||Xbox Series X - Erikk24 || BMW R1200GS || Opel Astra F X16XEL|| BMW E39 530d 142kW||DJI Mavic Pro||
-
őstag
válasz erikke17 #11644 üzenetére
Meg kéne mérni szerintem az aksiját is,hogy milyen állapotba van és az aksi töltését is.
Ha az UPS a legolcsóbb árkategóriába tartozik akkor lehet ennyi volt a pályafutása.
Ki kellene iktatni a gépből és nagyobb fogyasztóval egy kicsit megdolgoztatni...
ha pl. 600W-os akkor 400W-al megterhelni és nézni mennyi időt bír ki (így a mért időből is lehet következtetni az aksi viszonylagos állapotára)Ha csak néhány percet megy akkor az aksi fáradt el.[ Szerkesztve ]
Üdv:Attila
-
erikke17
őstag
válasz totya694 #11646 üzenetére
Nem egy noname vacak mert FSP EP-850. Tudtommal FSP nem egy silány minőség
Akku az vacak tudom mert már nem birja semeddig, jövőhéten lesz új egyszóval úgy elfáradt hogy az UPS saját magát nem birja el látni árammal most is csak azért van gépre kötve hogy az éjszakai csodálat 251V-ot ne engedje rá a gépre., de hogy az asztali lámpának köze van hozzá az tuti, mert láttam hogy el kezdett a LED vibrálni mentem is gyors programba nézem akku töltöttség ugyan úgy csökken/növekszik mint múltkor, lámpa kikapcs és már be is állt a normál szintre a töltöttség. De az a kopogó hang mikor van akkor vibrál is a LED. Mert most meg megy rendesen, igaz eddig is ment.
Ja igen UPS-nek meg birnia kell 290V-ig használati szerint
Fojtó az meg mi? o,O[ Szerkesztve ]
||Wot / WarThunder - Erixx93|| Steam - erixx18 ||Xbox Series X - Erikk24 || BMW R1200GS || Opel Astra F X16XEL|| BMW E39 530d 142kW||DJI Mavic Pro||
-
moha21
addikt
válasz erikke17 #11642 üzenetére
Jahm simán lehet a lámpa a baj, itt koliban is kaptunk "csodalámpákat", hihetetlen tranzienseket tol ( lehet hallani a hangfalban ) csak egy trafó..
IP védelemről nem is szólva, baj esetén simán kimehet a fázis a külsejére..
Ha a számítógépemmel egy elosztóból használom lelövi az egeret..folytó/kondi nem ártana beléjük..made in china:>Nem az az igazi férfi aki minden nőt meghódít, hanem aki ismeri a nagyfeszültségű földkábelek szigetelésének technikáját.
-
őstag
válasz erikke17 #11647 üzenetére
Az FSP valóban nem a gyenge minőséget képviseli.EP-850 és tsa.-i
Meg kell várni az új aksit és utána majd kiderül.
A neonok működéséhez ha pl. a klasszikus neoncsőről beszélünk akkor kell egy Fojtó tekercs és egy gyújtó [link]
Manapság elektronikával oldják meg...Üdv:Attila
-
erikke17
őstag
válasz moha21 #11648 üzenetére
Akkor kiiktatom ezt a vackot, azt meglássuk mit produkál igy, lehet mikor már a trafó csoda 250V-ot kapja csóró trafó azt se tudja mit kezdjen vele és össze vissza mindent kitol magából? Szerintem ha meg látnád ezt a trafót kifutnál világból:Amin jön be a 230V(250V) két vékony szál dróton kb. 1mm átmérőjű lehet, aztán megy ki a másik két kábel ez már a normál kategóriába tartozik, de az a bűz rosszabb mint mikor kiég egy villanymotor olyan mintha valaki lakkal tüzelne pfuj, természetesen igy nem érezni csak mikor szét szedtem.
De ennek a trafónak van is olyan fura "ciripelő" hangja pláne mikor hideg a trafó, de mikor bemelegszik akkor is hallani.Mert ilyen 250V-ot még nem láttam, mert fél évre visszamenőleg meg tudom nézni percekben visszamenőleg, hogy milyen feszültség jött be stb. mert ilyen adatokat percenként tárol UPS szoftvere.
[ Szerkesztve ]
||Wot / WarThunder - Erixx93|| Steam - erixx18 ||Xbox Series X - Erikk24 || BMW R1200GS || Opel Astra F X16XEL|| BMW E39 530d 142kW||DJI Mavic Pro||
Új hozzászólás Aktív témák
- Assassins Creed THE REBEL COLLECTION ( 2 JÁTÉK ) /// Szinte Új // Számla + Garancia
- AKCIÓ! iPad (9. generációs) Wi-Fi + Cellular tablet garanciával hibátlan működéssel
- 1év garanciával olcsó Irodai mini pc MONITORCENTER
- Apple iPhone 15 Pro Natural 128gb - 1év Apple garancia, akár beszámítással
- FC24 Nintendp Switch /// Szinte Új // SZÁMLA + Garancia
Állásajánlatok
Cég: Promenade Publishing House Kft.
Város: Budapest
Cég: Ozeki Kft.
Város: Debrecen